Evaluating printability of buried native extreme ultraviolet mask phase defects through a modeling and simulation approach

2015 
Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native extreme ultraviolet (EUV) mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability they cause. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a multilayer growth model based on a level-set technique was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. Further, the printability of the characterized native defects was studied at the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory. Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model, was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    3
    Citations
    NaN
    KQI
    []