Improved non-CAR type hemicellulose resists for EUV lithography

2021 
As the next generation of Extreame Ultraviolet (EUV) lithography, high-NA EUV is proposed. EUV resist is one of the challenges to achieve it. Non-CAR type hemicellulose resist was proposed last year and improved for high-NA EUV resist. The target is stable and high resolution chain scission resist. It was confirmed that hemicellulose works as a radical source by electron spin resonanse(ESR) analysis and hemicellulose improves EUV sensitivity from 50 to 28 mJ/cm2. The primary advantage of the hemicellulose resist is its excellent wafer transportability. The properties of resist such as low metal contamination, resist film uniformity, and edge cut were confirmed. The optimization of the lithography process improves the resolution of hemicellulose resist and pitch 26 nm L/S pattern was obtained with OPALTM-RH02. Furthermore, hole pattern of pitch 40 nm was also obtained.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []