Integration and automation of DoseMapper in a logic fab APC system: application for 45/40/28nm node

2012 
The main difficulty related to DoseMapper correction is to generate an appropriate CD datacollection to feed DoseMapper and to generate DoseRecipe in a user friendly way, especially with a complex process mix. We could heavily measure the silicon and create, in feedback mode, the corresponding DoseRecipe. However, such approach in a logic fab becomes a heavy duty due to the number of different masks / product / processes. We have observed that process CD variability is significantly depending on systematic intrawafer and intrafield CD footprints that can be measured and applied has generic pre-correction for any new product/mask process in-line. The applied CD correction is based on a CD (intrafield: Mask + Straylight & intrawafer: Etch Bias) variability "model" handled by the FAB APC (Advanced Process Control). - Individual CD profile correction component are generated "off-line" (1) for Intrafield Mask via automatic CD extraction from a Reticle CD database (2) for Intrafield Straylight via a CD "model" (3) for Intrawafer Etch Bias via engineering input based on process monitoring. - These CD files are handled via the FAB APC/automation system which is remotely taking control of DoseMapper server via WEB services, so that CD profiles are generated "off-line" (before the lot is being processed) and stored in a profile database while DoseRecipes are created "real-time" on demand via the automation when the lot comes to the scanner to be processed. DoseRecipe and CD correction profiles management is done via the APC system. The automated DoseRecipe creation is now running since the beginning of 2011 contributing to bring both intrafield and intrawafer GATE CDu below 1nm 3sigma, for 45/40 & 28nm nodes.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    3
    Citations
    NaN
    KQI
    []