AIMS™ EUV tool platform: aerial-image based qualification of EUV masks (Conference Presentation)

2018 
With the EUV high volume manufacturing becoming reality and the closing gap of EUV mask infrastructure, EUV lithography is seeing or will shortly see the first production chips being fabricated with EUV. Pilot production in EUV HVM is most likely realized in a mix-and-match process with 193nm techniques. The degree of complexity introduced by the EUV lithographic process is transferred in parallel also to EUV mask: the combination of process sensitive 3D effects and material dependent EUV reflectivity make even the simplest EUV mask what the community is recognizing to be a very complex phase object. The qualification of such a complex piece of Infrastructure as the EUV mask is being addressed from many directions: defect review application is always more backed up by ancillary applications which aim at qualifying the printing behavior of the mask with the fundamental precondition of a full scanner emulation. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed and commercialized the EUV aerial image metrology platform, the AIMS™ EUV platform, which fully addresses the industry requirements for EUV defectivity review. Additionally, this tool platform allows for mask qualification applications based on the employment of aerial image proven technology. In this paper, the status and recent achievements of the AIMSTM EUV platform will be presented. Promoting the detailed exploration of the aerial image content potential for EUV process understanding and mask qualification, we will present recent results on a printability study of embedded EUV multilayer defects, along with providing further insights into the relevance of mask 3D effects.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    1
    Citations
    NaN
    KQI
    []