Etching mask optimization of InAs/GaSb superlattice mid-wavelength infared 640 × 512 focal plane array

2017 
In this paper we focused on the mask technology of inductively coupled plasma (ICP) etching for the mesa fabrication of infrared focal plane arrays (FPA). By using the SiO2 mask, the mesa has higher graphics transfer accuracy and creates less micro-ripples in sidewalls. Comparing the IV characterization of detectors by using two different masks, the detector using the SiO2 hard mask has the of , while the detector using the photoresist mask has the of in 77 K. After that we focused on the method of removing the remaining SiO2 after mesa etching. The dry ICP etching and chemical buffer oxide etcher (BOE) based on HF and NH4F are used in this part. Detectors using BOE only have closer to that using the combining method, but it leads to gaps on mesas because of the corrosion on AlSb layer by BOE. We finally choose the combining method and fabricated the 640× 512 FPA. The FPA with cutoff wavelength of 4.8 m has the average of and the average detectivity of at 77 K. The FPA has good uniformity with the bad dots rate of 1.21% and the noise equivalent temperature difference (NEDT) of 22.9 mK operating at 77 K.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    23
    References
    3
    Citations
    NaN
    KQI
    []