Exploration of alternative mask for 0.33NA EUV single patterning at pitch 28nm

2021 
Extending 0.33NA EUV single patterning to pitch 28nm will enable metal layers cost-efficiency and significantly shorter process flow for N2 node. At the same time, EUV single patterning becomes very challenging in terms of stochastic defectivity and process window. In this paper, the lithographic performance of the M1 layer of an imec N3 (foundry N2 equivalent) random logic layout is evaluated by means of source mask optimization on these three mask candidates: a standard binary Ta-based absorber mask, a high extinction (high-k) absorber mask and a low-n attenuated phase-shift mask. The impact of mask tonality (bright field vs. dark field) and insertion of sub-resolution assist features on pattern fidelity and process window is evaluated.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []