Gas-phase surface functionalization of SiNx with benzaldehyde to increase SiO2 to SiNx etch selectivity in atomic layer etching

2021 
We show that the functionalization of a SiNx surface with benzaldehyde can be used to increase the overall SiO2 to SiNx etch selectivity during atomic layer etching (ALE). The surface reactions, composition, as well as film thickness during ALE are monitored using in situ surface infrared spectroscopy and in situ four-wavelength ellipsometry. Prior to ALE, we show that benzaldehyde can selectively populate a plasma-deposited SiNx surface with benzene rings through a self-limiting reaction with surface —NHx (x = 1, 2) groups, while no reaction occurs with —OH groups on a plasma-deposited SiO2 surface. Using alternating cycles of a C4F8/Ar and an rf-biased Ar plasma, ALE is performed on bare and benzaldehyde-exposed SiNx and SiO2. Over the first 16 ALE cycles, the SiO2 to SiNx etch selectivity increases from ∼2.1 to ∼4.5 due to the selective functionalization of the SiNx surface with benzaldehyde. A detailed analysis of the infrared spectra of the bare and benzaldehyde-functionalized SiNx surfaces shows that benzaldehyde promotes the formation of a more graphitic hydrofluorocarbon film on the SiNx surface, which inhibits etching.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []