Actinic review of EUV masks: performance data and status of the AIMS EUV System

2016 
The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium started a development program for such an EUV aerial image metrology system, the AIMS EUV. In this paper, we provide measurement data on the system’s key specifications and discuss its performance and capability status.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    4
    References
    3
    Citations
    NaN
    KQI
    []