Balancing lithographic performance and resist outgassing in EUV resists

2013 
Resolution (R), line-width roughness (L), and sensitivity (S) are three key indices describing the performance of a resist. When optimizing a resist to compromise the RLS trade-off in extreme-ultraviolet lithography, outgassing of the resist also needs to be considered, because it will cause deposition of cleanable and non-cleanable contaminants on the surface of the projection optics and reduce the throughput of the exposure tool. In this paper, the dependence of outgassing of a resist on its compositions, such as types of photo-acid generator, quencher, and acid liable group as well as their loadings are investigated systematically through a set of specially prepared resist samples. The outgassing of these samples is tested on EUVOM-9000 from Litho Tech Japan. The lithographic performances of these samples are also characterized on the ASML NXE3100. Directions for optimizing resist lithographic performance under the constraint of resist outgassing are proposed.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    4
    Citations
    NaN
    KQI
    []