Alternative developer solution/process for EUV lithography: ethyltrimethylammonium hydroxide (ETMAH)

2021 
This work investigates the potential of aqueous ethyltrimethylammonium hydroxide as an alternative developer solution for EUVL. At the same concentration as the de facto standard 0.26N aqueous tetramethylammonium hydroxide (TMAH) developer solution, results suggest no negative impact on lithographic performance; resolution, line width / line edge roughness, and sensitivity. Resist defectivity analysis was performed by considering the effect of line-break (in the overdose region) and line-bridge defects (in the underdose region), on exposure latitude (ELX) and critical dimension or CD margin (CDMX). Results show that ELX and CDMX improve with the ETMAH developer solution, with an optimal concentration around 0.23N-0.20N.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []