X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices

2017 
Semiconductor devices continue to shrink in size with every generation. These ever smaller structures are challenging the resolution limits of current analytical and inline metrology tools. We will discuss the results of a study of critical dimension small angle x-ray scattering (CDSAXS) comparing the measured intensity from a laboratory source and a synchrotron to determine the improvements in compact x-ray source technology necessary to make CDSAXS a high throughput metrology method. We investigated finFET test structures with and without a high- k gate dielectric coating. The HfO 2 -based high- k gate dielectric substantially increased the scattering intensity. We found that single-angle laboratory source measurements of 15 min from HfO 2 -coated finFETs had sufficient scattering intensity to measure the higher order peaks necessary for obtaining high-resolution dimensional fits. Identical bare silicon finFETs required at least 2 h of exposure time for equivalent data quality. Using these structures, we measured the scattering efficiency and determined the required photon flux for next generation x-ray sources to make an inline CDSAXS tool high throughput.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    28
    References
    5
    Citations
    NaN
    KQI
    []