Vapor-deposited zeolitic imidazolate frameworks as gap-filling ultra-low-k dielectrics

2019 
The performance of modern chips is strongly related to the multi-layer interconnect structure that interfaces the semiconductor layer with the outside world. The resulting demand to continuously reduce the k-value of the dielectric in these interconnects creates multiple integration challenges and encourages the search for novel materials. Here we report a strategy for the integration of metal-organic frameworks (MOFs) as gap-filling low-k dielectrics in advanced on-chip interconnects. The method relies on the selective conversion of purpose-grown or native metal-oxide films on the metal interconnect lines into MOFs by exposure to organic linker vapor. The proposed strategy is validated for thin films of the zeolitic imidazolate frameworks ZIF-8 and ZIF-67, formed in 2-methylimidazole vapor from ALD ZnO and native CoOx, respectively. Both materials show a Young’s modulus and dielectric constant comparable to state-of-the-art porous organosilica dielectrics. Moreover, the fast nucleation and volume expansion accompanying the oxide-to-MOF conversion enable uniform growth and gap-filling of narrow trenches, as demonstrated for 45 nm half-pitch fork-fork capacitors. Insulating materials combining a high mechanical stability, a low dielectric constant and the ability to completely fill narrow gaps are essential to fabricate interconnects for future high-performance chips. Here, the authors integrate zeolitic imidazolate frameworks as ultra-low-k dielectrics within a 90 nm pitch metallization layer via selective vapor-based conversion of metal oxide films.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    102
    References
    46
    Citations
    NaN
    KQI
    []