EUV lithography performance for manufacturing: status and outlook

2016 
NXE:3300B scanners have been operational at customer sites since almost two years, and the NXE:3350B, the 4th generation EUV system, has started shipping at the end of 2015. All these exposure tools operate using MOPA pre-pulse source technology, which enabled significant productivity scaling, demonstrated at customers and at ASML. Having achieved the required throughput to support device development, the main priority of the ASML EUV program has shifted towards improving stability and availability. Continuous progresses in defectivity reduction and in the realization of a reticle pellicle are taking place at increased speed. Today’s overlay and imaging results are in line with the requirements of 7nm logic devices; Matched Machine overlay to ArF immersion below 2.5 nm and full wafer CDU performance of less than 1.0nm are regularly achieved. The realization of an intensity loss-less illuminator and improvements in resist formulation are significant progress towards enabling the use of EUV technology for 5nm logic devices at full productivity. This paper will present an overview of the status of the ASML EUV program and product roadmap by reviewing the current performance and on-going developments in productivity, imaging, overlay and mask defectivity reduction.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    31
    Citations
    NaN
    KQI
    []