Selective functionalization of partially etched SiNx to enhance SiO2 to SiNx etch selectivity

2021 
Selective functionalization of SiO2 and SiNx surfaces is challenging after atmospheric exposure or after exposure to an etching plasma chemistry as both surfaces tend to have similar functional groups. Here, we show that a SiNx surface can be selectively functionalized over SiO2 with benzaldehyde after the first atomic layer etching (ALE) cycle. Similar to our previous work on pristine plasma-deposited SiO2 and SiNx surfaces [R. J. Gasvoda, Z. Zhang, E. A. Hudson, and S. Agarwal, J. Vac. Sci Technol. A 39, 040401 (2021)], this selective functionalization can be used to increase the overall SiO2 to SiNx etch selectivity during ALE. The surface reactions, composition, and film thickness during ALE were monitored using in situ surface infrared spectroscopy and in situ four-wavelength ellipsometry. Our ALE process consisted of alternating cycles of CFx deposition from a C4F6/Ar plasma and an Ar activation plasma with an average ion energy of ∼210 eV. The first ALE cycle removed the surface SiOxNy layer on the SiNx surface and created reactive sites for selective benzaldehyde attachment.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []