Infusion processing : An alternative to plasma technology for semiconductor device manufacturing

2005 
Infusion Processing based on the use of Gas Cluster Ion Beams (GCIBs) is described. The mechanism of the process is described and its differences with plasma processing delineated. Data is presented which shows that infusion processing provides a viable alternative to plasma-based processes for a number of semiconductor device fabrication needs. The GCIB infusion process is shown to be useful in both FEOL and BEOL applications. Infusion processes for ultra shallow junction formation, Ge and SiGe x film deposition, strained layer formation, SOI and low-k etching, and low-k photo-resist stripping and pore sealing are described.
    • Correction
    • Cite
    • Save
    • Machine Reading By IdeaReader
    3
    References
    0
    Citations
    NaN
    KQI
    []